当前位置: 首页 > 工具软件 > GTKWave > 使用案例 >

verilog仿真工具iverilog+GTKWave使用教程

辛麻雀
2023-12-01

安装iverilog:

sudo apt-get install iverilog

安装GTKWave:

sudo apt-get install gtkwave

详细的使用方法可以查看官方用户指南:

https://iverilog.fandom.com/wiki/User_Guide

 类似资料: