当前位置: 首页 > 工具软件 > Verilator > 使用案例 >

verilator的第一个程序,注意流程和命令

朱阳晖
2023-12-01

1. 已有文件

已知our_OnOff.v和main.cpp文件,编写Makefile文件,在verilator软件仿真

our_OnOff.v

module our_OnOff(a,b,f);

  input a;
  input b;
  output f;

  assign f = a ^ b;
endmodule

main.cpp

#include "verilated_vcd_c.h" //可选,如果要导出vcd则需要加上
#include "Vour_OnOff.h"
#include "stdio.h"
#include <stdlib.h>

vluint64_t main_time = 0;  //initial 仿真时间
 
double sc_time_stamp()
{
    return main_time;
}
 
int main(int argc, char **argv)
{
    Verilated::commandArgs(argc, argv); 
    Verilated::traceEverOn(true); //导出vcd波形需要加此语句
 
    VerilatedVcdC* tfp = new VerilatedVcdC; //导出vcd波形需要加此语句
 
    Vour_OnOff *top = new Vour_OnOff("top"); //调用VAccumulator.h里面的IO struct
 
    top->trace(tfp, 0);   
    tfp->open("wave.vcd"); //打开vcd
 
    while (sc_time_stamp() < 20 && !Verilated::gotFinish()) { //控制仿真时间
        int a = rand() & 1;
		int b = rand() & 1;
		top->a = a;
		top->b = b;
		top->eval();
		printf("a = %d, b = %d, f = %d\n", a, b, top->f);
		tfp->dump(main_time); //dump wave
        main_time++; //推动仿真时间
    }
    top->final();
    tfp->close();
    delete top;
 
    return 0;
}

2. 执行流程

运行仿真分成三步:;

(1)生成目标文件夹;(2)编译;(3)运行和查看波形

2.1 生成目标文件夹

命令:verilator -Wno-fatal our_OnOff.v main.cpp --top-module our_OnOff --cc --trace --exe

-Wno: 忽略非 fatal 的 warning
our_OnOff.v: 是设计文件
main.c"是主程序
--top-module:顶层模块名,注意是模块名,不是文件名
--cc:表明是C++,不过 c 程序也是支持的
--trace 表明会追踪波形,如果需要导出vcd 或者 fst 等其他波形文件,需要加上这个选项
--exe:生成可执行文件

运行完后会在当前目录生成obj_dir文件夹,这么多文件不需要深究。

2.2 编译

命令:make -C obj_dir -f Vour_OnOff.mk Vour_OnOff

  • 使用 -C 选项改变目录,你能看到 make 命令首先切到特定的目录下,在那执行
  • Vour_OnOff.mk 也是生成出来的一个文件,在 obj_dir 文件夹里面,用于自动化的编译控制
  • 最后一个参数是输出可执行文件的文件名,最好不要乱改,就"V" + "design_name"

2.3 运行和查看波形

./obj_dir/Vour_OnOff
gtkwave wave.vcd

 类似资料: