当前位置: 首页 > 工具软件 > Task-Board > 使用案例 >

Verilog task学习

陈实
2023-12-01

1 task任务

task被一段封装在“task-endtask”之间的程序。task通过调用来执行,而且只有在调用时才会被执行,如果定义了task,但是在整个过程中都没有调用它,那么这个task是不会执行的。调用某个task时可能需要它处理某些数据并返回操作结果,所以task应当有接收数据的输入端和返回数据的输出端。另外,task可以彼此调用,而且task内还可以调用函数。

2task声明

task语法如下:

//Verilog-2001 Task Declaration

task [automatic] task_name(
  port_declaration port_name,...,
  port_declaration port_name,..
 类似资料: